Follow
Daniel Sanchez
Title
Cited by
Cited by
Year
ZSim: Fast and Accurate Microarchitectural Simulation of Thousand-Core Systems
D Sanchez, C Kozyrakis
Proceedings of the 40th International Symposium in Computer Architecture …, 2013
6892013
There’s plenty of room at the Top: What will drive computer performance after Moore’s law?
CE Leiserson, NC Thompson, JS Emer, BC Kuszmaul, BW Lampson, ...
Science 368 (6495), eaam9744, 2020
3642020
Vantage: Scalable and Efficient Fine-Grain Cache Partitioning
D Sanchez, C Kozyrakis
Proceedings of the 38th International Symposium in Computer Architecture …, 2011
3412011
The ZCache: Decoupling Ways and Associativity
D Sanchez, C Kozyrakis
Proceedings of the 43rd annual IEEE/ACM international symposium on …, 2010
2762010
Ubik: Efficient Cache Sharing with Strict QoS for Latency-Critical Workloads
H Kasture, D Sanchez
Proceedings of the 19th international conference on Architectural Support …, 2014
2212014
Tarcil: Reconciling Scheduling Speed and Quality in Large, Shared Clusters
C Delimitrou, D Sanchez, C Kozyrakis
Proceedings of the 2015 ACM Symposium on Cloud Computing (SoCC), 2015
2162015
TailBench: A benchmark suite and evaluation methodology for latency-critical applications
H Kasture, D Sanchez
IEEE International Symposium on Workload Characterization (IISWC), 1-10, 2016
2012016
TicToc: Time Traveling Optimistic Concurrency Control
X Yu, A Pavlo, D Sanchez, S Devadas
Proceedings of the 2016 ACM SIGMOD International Conference on Management of …, 2016
1962016
Flexible Architectural Support for Fine-Grain Scheduling
D Sanchez, RM Yoo, C Kozyrakis
Proceedings of the 15th international conference on Architectural Support …, 2010
1922010
Implementing Signatures for Transactional Memory
D Sanchez, L Yen, MD Hill, K Sankaralingam
Proceedings of the 40th Annual IEEE/ACM International Symposium on …, 2007
1872007
An Analysis of On-Chip Interconnection Networks for Large-Scale Chip Multiprocessors
D Sanchez, G Michelogiannakis, C Kozyrakis
ACM Transactions on Architecture and Code Optimization (TACO) 7 (1), 4, 2010
1812010
Rubik: Fast Analytical Power Management for Latency-Critical Systems
H Kasture, DB Bartolini, N Beckmann, D Sanchez
Proceedings of the 48th annual IEEE/ACM international symposium on …, 2015
1782015
Evaluating Bufferless Flow Control for On-Chip Networks
G Michelogiannakis, D Sanchez, WJ Dally, C Kozyrakis
Proceedings of the 4th ACM/IEEE International Symposium on Networks-on-Chip …, 2010
1692010
SCD: A Scalable Coherence Directory with Flexible Sharer Set Encoding
D Sanchez, C Kozyrakis
Proceedings of the 18th international symposium on High Performance Computer …, 2012
1542012
F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption
A Feldmann, N Samardzic, A Krastev, S Devadas, R Dreslinski, C Peikert, ...
Proceedings of the 54th annual IEEE/ACM international symposium on …, 2021
152*2021
Exploiting Locality in Graph Analytics through Hardware-Accelerated Traversal Scheduling
A Mukkara, N Beckmann, M Abeydeera, X Ma, D Sanchez
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
1412018
KPart: A Hybrid Cache Partitioning-Sharing Technique for Commodity Multicores
N El-Sayed, A Mukkara, PA Tsai, H Kasture, X Ma, D Sanchez
Proceedings of the 24th International Symposium on High Performance Computer …, 2018
1412018
Jigsaw: Scalable Software-Defined Caches
N Beckmann, D Sanchez
Proceedings of the 22nd international conference on Parallel Architectures …, 2013
1272013
A Scalable Architecture for Ordered Parallelism
MC Jeffrey, S Subramanian, C Yan, J Emer, D Sanchez
Proceedings of the 48th annual IEEE/ACM international symposium on …, 2015
1172015
CraterLake: A Hardware Accelerator for Efficient Unbounded Computation on Encrypted Data
N Samardzic, A Feldmann, A Krastev, N Manohar, N Genise, S Devadas, ...
Proceedings of the 49th International Symposium in Computer Architecture …, 2022
1012022
The system can't perform the operation now. Try again later.
Articles 1–20