Follow
Umit Yusuf Ogras
Title
Cited by
Cited by
Year
Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives
R Marculescu, UY Ogras, LS Peh, NE Jerger, Y Hoskote
IEEE Transactions on computer-aided design of integrated circuits and …, 2008
8982008
" It's a small world after all": NoC performance optimization via long-range link insertion
UY Ogras, R Marculescu
IEEE Transactions on very large scale integration (VLSI) systems 14 (7), 693-706, 2006
5192006
Key research problems in NoC design: a holistic perspective
UY Ogras, J Hu, R Marculescu
Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware …, 2005
4902005
On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches
HG Lee, N Chang, UY Ogras, R Marculescu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3 …, 2008
3482008
System-level buffer allocation for application-specific networks-on-chip router design
J Hu, UY Ogras, R Marculescu
IEEE Transactions on Computer-Aided Design of integrated circuits and …, 2006
2532006
Energy-and performance-aware incremental mapping for networks on chip with multiple voltage levels
CL Chou, UY Ogras, R Marculescu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
2262008
Voltage-frequency island partitioning for GALS-based networks-on-chip
UY Ogras, R Marculescu, P Choudhary, D Marculescu
Proceedings of the 44th Annual Design Automation Conference, 110-115, 2007
2072007
Application-specific network-on-chip architecture customization via long-range link insertion
UY Ogras, R Marculescu
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
1822005
Design and management of voltage-frequency island partitioned networks-on-chip
UY Ogras, R Marculescu, D Marculescu, EG Jung
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (3), 330-341, 2009
1712009
An analytical approach for network-on-chip performance analysis
UY Ogras, P Bogdan, R Marculescu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
1532010
Energy-and performance-driven NoC communication architecture synthesis using a decomposition approach
UY Ogras, R Marculescu
Design, Automation and Test in Europe, 352-357, 2005
1422005
Analytical router modeling for networks-on-chip performance analysis
UY Ogras, R Marculescu
2007 Design, Automation & Test in Europe Conference & Exhibition, 1-6, 2007
1382007
Prediction-based flow control for network-on-chip traffic
UY Ogras, R Marculescu
Proceedings of the 43rd annual design automation conference, 839-844, 2006
1372006
Predictive dynamic thermal and power management for heterogeneous mobile platforms
G Singla, G Kaur, AK Unver, UY Ogras
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 960-965, 2015
1202015
Variation-adaptive feedback control for networks-on-chip with multiple clock domains
UY Ogras, R Marculescu, D Marculescu
Proceedings of the 45th annual Design Automation Conference, 614-619, 2008
1082008
Virtual channels planning for networks-on-chip
TC Huang, UY Ogras, R Marculescu
8th International symposium on quality electronic design (ISQED'07), 879-884, 2007
962007
Dimensionality reduction and similarity computation by inner product approximations
Ö Eğecioğlu, H Ferhatosmanoğlu
Proceedings of the ninth international conference on Information and …, 2000
942000
Algorithmic optimization of thermal and power management for heterogeneous mobile platforms
G Bhat, G Singla, AK Unver, UY Ogras
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (3), 544-557, 2017
892017
Online human activity recognition using low-power wearable devices
G Bhat, R Deb, VV Chaurasia, H Shill, UY Ogras
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
862018
In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches
X Chen, Z Xu, H Kim, P Gratz, J Hu, M Kishinevsky, U Ogras
ACM Transactions on Design Automation of Electronic Systems (TODAES) 18 (4 …, 2013
852013
The system can't perform the operation now. Try again later.
Articles 1–20