Seguir
Dr James J. Davis
Título
Citado por
Citado por
Ano
Deep Neural Network Approximation for Custom Hardware: Where We've Been, Where We're Going
E Wang, JJ Davis, R Zhao, HC Ng, X Niu, W Luk, PYK Cheung, ...
ACM Computing Surveys 52 (2), 40:1--40:39, 2019
2122019
LUTNet: Rethinking Inference in FPGA Soft Logic
E Wang, JJ Davis, PYK Cheung, GA Constantinides
IEEE Symposium on Field-programmable Custom Computing Machines (FCCM) 2019 …, 2019
702019
Adaptive Energy Minimization of Embedded Heterogeneous Systems using Regression-based Learning
S Yang, RA Shafik, GV Merrett, E Stott, JM Levine, JJ Davis, ...
International Workshop on Power and Timing Modeling, Optimization and …, 2015
612015
LUTNet: Learning FPGA Configurations for Highly Efficient Neural Network Inference
E Wang, JJ Davis, PYK Cheung, GA Constantinides
IEEE Transactions on Computers 69 (12), 1795--1808, 2020
532020
A PYNQ-based Framework for Rapid CNN Prototyping
E Wang, JJ Davis, PYK Cheung
IEEE Symposium on Field-programmable Custom Computing Machines (FCCM) 2018 …, 2018
362018
Hardware Compilation of Deep Neural Networks: An Overview (invited)
R Zhao, S Liu, HC Ng, E Wang, JJ Davis, X Niu, X Wang, H Shi, ...
IEEE International Conference on Application-specific Systems, Architectures …, 2018
172018
Voltage, Throughput, Power, Reliability, and Multicore Scaling
F Xia, A Rafiev, A Aalsaud, M Al-Hayanni, JJ Davis, J Levine, A Mokhov, ...
IEEE Computer 50 (8), 34--45, 2017
162017
KAPow: A System Identification Approach to Online Per-Module Power Estimation in FPGA Designs
E Hung, JJ Davis, JM Levine, EA Stott, PYK Cheung, GA Constantinides
IEEE Symposium on Field-programmable Custom Computing Machines (FCCM) 2016 …, 2016
162016
Enabling Binary Neural Network Training on the Edge
E Wang, JJ Davis, D Moro, P Zielinski, JJ Lim, C Coelho, S Chatterjee, ...
International Workshop on Embedded and Mobile Deep Learning (EMDL), 37-38, 2021
142021
ARCHITECT: Arbitrary-precision Hardware with Digit Elision for Efficient Iterative Compute
H Li, JJ Davis, J Wickerson, GA Constantinides
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (2), 516 …, 2019
122019
KAPow: High-accuracy, Low-overhead Online Per-module Power Estimation for FPGA Designs
JJ Davis, E Hung, JM Levine, EA Stott, PYK Cheung, GA Constantinides
ACM Transactions on Reconfigurable Technology and Systems 11 (1), 2:1--2:22, 2018
122018
Achieving Low-overhead Fault Tolerance for Parallel Accelerators with Dynamic Partial Reconfiguration
JJ Davis, PYK Cheung
International Conference on Field-programmable Logic and Applications (FPL …, 2014
122014
Logic Shrinkage: Learned FPGA Netlist Sparsity for Efficient Neural Network Inference
E Wang, JJ Davis, GI Stavrou, PYK Cheung, GA Constantinides, ...
ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA) 2022, 2022
92022
ARCHITECT: Arbitrary-precision Constant-hardware Iterative Compute
H Li, JJ Davis, J Wickerson, GA Constantinides
International Conference on Field-programmable Technology (FPT) 2017, 73--79, 2018
72018
An Application- and Platform-agnostic Runtime Management Framework for Multicore Systems
GM Bragg, C Leech, D Balsamo, JJ Davis, E Wachter, GV Merrett, ...
International Joint Conference on Pervasive and Embedded Computing and …, 2018
6*2018
Digit Stability Inference for Iterative Methods Using Redundant Number Representation
H Li, I McInerney, JJ Davis, GA Constantinides
IEEE Transactions on Computers 70 (7), 1074--1080, 2020
42020
Digit Elision for Arbitrary-accuracy Iterative Computation
H Li, JJ Davis, J Wickerson, GA Constantinides
IEEE Symposium on Computer Arithmetic (ARITH) 2018, 107--114, 2018
42018
KOCL: Power Self-awareness for Arbitrary FPGA-SoC-accelerated OpenCL Applications
JJ Davis, JM Levine, EA Stott, E Hung, PYK Cheung, GA Constantinides
IEEE Design & Test 34 (6), 36--45, 2017
42017
Datapath Fault Tolerance for Parallel Accelerators
JJ Davis, PYK Cheung
International Conference on Field-programmable Technology (FPT) 2013, 366--369, 2014
42014
STRIPE: Signal Selection for Runtime Power Estimation
JJ Davis, JM Levine, EA Stott, E Hung, PYK Cheung, GA Constantinides
International Confererence on Field-programmable Logic and Applications (FPL …, 2017
32017
O sistema não pode efectuar a operação agora. Tente mais tarde.
Artigos 1–20