Seguir
Li-C. Wang
Li-C. Wang
Email confirmado em ece.ucsb.edu
Título
Citado por
Citado por
Ano
Evolutionary and biomedical insights from the rhesus macaque genome
RA Gibbs, J Rogers, MG Katze, R Bumgarner, GM Weinstock, ER Mardis, ...
science 316 (5822), 222-234, 2007
14662007
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
JJ Liou, A Krstic, LC Wang, KT Cheng
Proceedings of the 39th annual Design Automation Conference, 566-569, 2002
2162002
A circuit SAT solver with signal correlation guided learning
F Lu, LC Wang, KT Cheng, RCY Huang
2003 Design, Automation and Test in Europe Conference and Exhibition, 892-897, 2003
1602003
REDO-random excitation and deterministic observation-first commercial experiment
MR Grimaila, S Lee, J Dworak, KM Butler, B Stewart, H Balachandran, ...
Proceedings 17th IEEE VLSI Test Symposium (Cat. No. PR00146), 268-274, 1999
1461999
Critical path selection for delay fault testing based upon a statistical timing model
LC Wang, JJ Liou, KT Cheng
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2004
1192004
Challenges and trends in modern SoC design verification
W Chen, S Ray, J Bhadra, M Abadir, LC Wang
IEEE Design & Test 34 (5), 7-22, 2017
1182017
On correlating structural tests with functional tests for speed binning of high performance design
J Zeng, MS Abadir, G Vandling, LC Wang, S Karako, JA Abraham
Fifth International Workshop on Microprocessor Test and Verification (MTV'04 …, 2004
1042004
TranGen: A SAT-based ATPG for path-oriented transition faults
K Yang, KT Cheng, LC Wang
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE …, 2004
1032004
New challenges in delay testing of nanometer, multigigahertz designs
TM Mak, A Krstic, KT Cheng, LC Wang
IEEE Design & Test of Computers 21 (3), 241-248, 2004
992004
A survey of hybrid techniques for functional verification
J Bhadra, MS Abadir, LC Wang, S Ray
IEEE Design & Test of Computers 24 (02), 112-122, 2007
972007
The finished DNA sequence of human chromosome 12
SE Scherer, DM Muzny, CJ Buhay, R Chen, A Cree, Y Ding, ...
Nature 440 (7082), 346-351, 2006
892006
Predicting variability in nanoscale lithography processes
DG Drmanac, F Liu, LC Wang
Proceedings of the 46th Annual Design Automation Conference, 545-550, 2009
772009
Defect-oriented testing and defective-part-level prediction
J Dworak, JD Wicker, S Lee, MR Grimaila, MR Mercer, KM Butler, ...
IEEE Design & Test of Computers 18 (1), 31-41, 2001
742001
The DNA sequence, annotation and analysis of human chromosome 3
DM Muzny, SE Scherer, R Kaul, J Wang, J Yu, R Sudbrak, CJ Buhay, ...
Nature 440 (7088), 1194-1198, 2006
732006
On the decline of testing efficiency as fault coverage approaches 100%
LC Wang, PR Mercer, SW Kao, TW Williams
Proceedings 13th IEEE VLSI Test Symposium, 74-83, 1995
671995
Simulation-based functional test generation for embedded processors
CHP Wen, LC Wang, KT Cheng
IEEE Transactions on Computers 55 (11), 1335-1343, 2006
662006
Automatic assertion extraction via sequential data mining of simulation traces
PH Chang, LC Wang
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 607-612, 2010
652010
Experience of data analytics in EDA and test—principles, promises, and challenges
LC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
622016
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
F Lu, LC Wang, KT Cheng, J Moondanos, Z Hanna
Proceedings of the 40th annual Design Automation Conference, 436-441, 2003
612003
An efficient sequential SAT solver with improved search strategies
F Lu, MK Iyer, G Parthasarathy, LC Wang, KT Cheng, KC Chen
Design, Automation and Test in Europe, 1102-1107, 2005
592005
O sistema não pode efectuar a operação agora. Tente mais tarde.
Artigos 1–20