Seguir
Matthew R. Guthaus
Título
Citado por
Citado por
Ano
MiBench: A free, commercially representative embedded benchmark suite
MR Guthaus, JS Ringenberg, D Ernst, TM Austin, T Mudge, RB Brown
Proceedings of the fourth annual IEEE international workshop on workload …, 2001
48482001
OpenRAM: An open-source memory compiler
MR Guthaus, JE Stine, S Ataei, B Chen, B Wu, M Sarwar
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2016
1662016
A mixed-signal sensor interface microinstrument
KL Kraver, MR Guthaus, TD Strong, PL Bird, GS Cha, W Höld, RB Brown
Sensors and Actuators A: Physical 91 (3), 266-277, 2001
1632001
A mixed-signal sensor interface microinstrument
KL Kraver, MR Guthaus, TD Strong, PL Bird, GS Cha, W Hoeld, RB Brown
Hilton Head Solid State Sensors and Actuators Workshop, 14-17, 2000
1632000
Gate sizing using incremental parameterized statistical timing analysis
MR Guthaus, N Venkateswaran, C Visweswariah, V Zolotov
Proceedings of the 2005 IEEE/ACM International conference on Computer-aided …, 2005
1222005
Circuit design for reliability
R Reis, Y Cao, G Wirth
Springer New York, 2015
582015
Revisiting automated physical synthesis of high-performance clock networks
MR Guthaus, G Wilke, R Reis
ACM Transactions on Design Automation of Electronic Systems (TODAES) 18 (2 …, 2013
462013
Non-uniform clock mesh optimization with linear programming buffer insertion
MR Guthaus, G Wilke, R Reis
Proceedings of the 47th Design Automation Conference, 74-79, 2010
392010
Distributed LC resonant clock grid synthesis
X Hu, MR Guthaus
IEEE Transactions on Circuits and Systems I: Regular Papers 59 (11), 2749-2760, 2012
382012
Clock buffer and wire sizing using sequential programming
MR Guthaus, D Sylvester, RB Brown
Proceedings of the 43rd annual Design Automation Conference, 1041-1046, 2006
372006
Partitioning variables across register windows to reduce spill code in a low-power processor
RA Ravindran, RM Senger, ED Marsman, GS Dasika, MR Guthaus, ...
IEEE Transactions on Computers 54 (8), 998-1012, 2005
372005
Confronting the variability issues affecting the performance of next-generation SRAM design to optimize and predict the speed and yield
J Samandari-Rad, M Guthaus, R Hughey
IEEE Access 2, 577-601, 2014
352014
A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference
RM Senger, ED Marsman, MS McCorquodale, FH Gebara, KL Kraver, ...
Proceedings of the 40th annual Design Automation Conference, 520-525, 2003
312003
Distributed LC resonant clock tree synthesis
MR Guthaus
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 1215-1218, 2011
282011
A 16-bit low-power microcontroller with monolithic MEMS-LC clocking
ED Marsman, RM Senger, MS McCorquodale, MR Guthaus, ...
Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on, 624-627, 2005
272005
High-performance clock mesh optimization
MR Guthaus, X Hu, G Wilke, G Flach, R Reis
ACM Transactions on Design Automation of Electronic Systems (TODAES) 17 (3 …, 2012
262012
Increasing the number of effective registers in a low-power processor using a windowed register file
RA Ravindran, RM Senger, ED Marsman, GS Dasika, MR Guthaus, ...
Proceedings of the 2003 international conference on Compilers, architecture …, 2003
262003
Low-power clock distribution using a current-pulsed clocked flip-flop
R Islam, MR Guthaus
IEEE Transactions on Circuits and Systems I: Regular Papers 62 (4), 1156-1164, 2015
252015
Distributed resonant clock grid synthesis (ROCKS)
X Hu, M Guthaus
Proceedings of the 48th Design Automation Conference, 516-521, 2011
242011
A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS
S Ataei, JE Stine, MR Guthaus
2016 IEEE 34th international conference on computer design (ICCD), 499-506, 2016
202016
O sistema não pode efectuar a operação agora. Tente mais tarde.
Artigos 1–20