Seguir
Jeff J. ZHANG
Jeff J. ZHANG
Arizona State University
Email confirmado em seas.harvard.edu - Página inicial
Título
Citado por
Citado por
Ano
Thundervolt: enabling aggressive voltage underscaling and timing error resilience for energy efficient deep learning accelerators
J Zhang, K Rangineni, Z Ghodsi, S Garg
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
1612018
Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator
JJ Zhang, T Gu, K Basu, S Garg
2018 IEEE 36th VLSI Test Symposium (VTS), 1-6, 2018
1452018
Fault-tolerant systolic array based accelerators for deep neural network execution
JJ Zhang, K Basu, S Garg
IEEE Design & Test 36 (5), 44-53, 2019
692019
Logic locking for secure outsourced chip fabrication: A new attack and provably secure defense mechanism
ME Massad, J Zhang, S Garg, MV Tripunitara
arXiv preprint arXiv:1703.10187, 2017
682017
Building robust machine learning systems: Current progress, research challenges, and opportunities
JJ Zhang, K Liu, F Khalid, MA Hanif, S Rehman, T Theocharides, A Artussi, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
402019
{Model-Switching}: Dealing with Fluctuating Workloads in {Machine-Learning-as-a-Service} Systems
J Zhang, S Elnikety, S Zarar, A Gupta, S Garg
12th USENIX Workshop on Hot Topics in Cloud Computing (HotCloud 20), 2020
342020
Energy optimization for data allocation with hybrid SRAM+ NVM SPM
Y Wang, K Li, J Zhang, K Li
IEEE Transactions on Circuits and Systems I: Regular Papers 65 (1), 307-318, 2017
322017
Power efficiency for hardware/software partitioning with time and area constraints on mpsoc
E Sha, L Wang, Q Zhuge, J Zhang, J Liu
International Journal of Parallel Programming 43, 381-402, 2015
312015
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance
U Gupta, S Hsia, J Zhang, M Wilkening, J Pombra, HHS Lee, GY Wei, ...
2021 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
302021
FATE: Fast and accurate timing error prediction framework for low power DNN accelerator design
J Zhang, S Garg
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
292018
Efficient fault-tolerant scheduling on multiprocessor systems via replication and deallocation
J Zhang, EHM Sha, Q Zhuge, J Yi, K Wu
International Journal of Embedded Systems 6 (2), 216-224, 2014
222014
Opencgra: Democratizing coarse-grained reconfigurable arrays
C Tan, NB Agostini, J Zhang, M Minutoli, VG Castellana, C Xie, T Geng, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
202021
CompAct: On-chip Compression of Activations for Low Power Systolic Array Based CNN Acceleration
J Zhang, P Raj, S Zarar, A Ambardekar, S Garg
ACM Transactions on Embedded Computing Systems (TECS) 18 (5s), 1-24, 2019
202019
Enabling timing error resilience for low-power systolic-array based deep learning accelerators
J Zhang, Z Ghodsi, S Garg, K Rangineni
IEEE Design & Test 37 (2), 93-102, 2019
172019
Optimizing data allocation for loops on embedded systems with scratch-pad memory
J Zhang, T Deng, Q Gao, Q Zhuge, EHM Sha
2012 IEEE International Conference on Embedded and Real-Time Computing …, 2012
172012
A 12nm agile-designed SoC for swarm-based perception with heterogeneous IP blocks, a reconfigurable memory hierarchy, and an 800MHz multi-plane NoC
T Jia, P Mantovani, MC Dos Santos, D Giri, J Zuckerman, EJ Loscalzo, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
162022
Assessing robustness of hyperdimensional computing against errors in associative memory
S Zhang, R Wang, JJ Zhang, A Rahimi, X Jiao
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
152021
Bridging Python to silicon: The SODA toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
132022
Millimeter wave wireless assisted robot navigation with link state classification
M Yin, AK Veldanda, A Trivedi, J Zhang, K Pfeiffer, Y Hu, S Garg, E Erkip, ...
IEEE Open Journal of the Communications Society 3, 493-507, 2022
122022
An adaptive invasive weed optimization algorithm
S Peng, AJ Ouyang, JJ Zhang
International Journal of Pattern Recognition and Artificial Intelligence 29 …, 2015
122015
O sistema não pode efectuar a operação agora. Tente mais tarde.
Artigos 1–20