Follow
Antonio Gonzalez
Antonio Gonzalez
Verified email at ac.upc.edu
Title
Cited by
Cited by
Year
Energy-effective issue logic
D Folegnani, A González
Proceedings of the 28th annual international symposium on Computer …, 2001
4362001
A data cache with multiple caching strategies tuned to different types of locality
A González, C Aliagas, M Valero
ACM International Conference on Supercomputing 25th Anniversary Volume, 217-226, 1995
4221995
Multiple-banked register file architectures
JL Cruz, A González, M Valero, NP Topham
Proceedings of the 27th annual international symposium on Computer …, 2000
3862000
Penelope: The NBTI-aware processor
J Abella, X Vera, A Gonzalez
40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2007
2572007
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices
CG Quiñones, C Madriles, J Sánchez, P Marcuello, A González, ...
ACM Sigplan Notices 40 (6), 269-279, 2005
2402005
Clustered speculative multithreaded processors
P Marcuello, A Gonzalez
Proceedings of the 13th International Conference on Supercomputing, 365-372, 1999
2331999
Speculative multithreaded processors
P Marcuello, A González, J Tubella
Proceedings of the 12th international conference on Supercomputing, 77-84, 1998
2231998
Frequency and voltage scaling architecture
G Magklis, J González, A González
US Patent 7,434,073, 2008
2172008
Apparatus and method for an energy efficient clustered micro-architecture
J Gonzalez, A Gonzalez
US Patent 7,194,643, 2007
2112007
Swing module scheduling: a lifetime-sensitive approach
J Llosa, A González, E Ayguadé, M Valero
Proceedings of the 1996 Conference on Parallel Architectures and compilation …, 1996
2041996
Eliminating cache conflict misses through XOR-based placement functions
A González, M Valero, N Topham, JM Parcerisa
Proceedings of the 11th international conference on Supercomputing, 76-83, 1997
1821997
Speculative execution via address prediction and data prefetching
J González, A González
Proceedings of the 11th international conference on Supercomputing, 196-203, 1997
1811997
Understanding the thermal implications of multi-core architectures
P Chaparro, J Gonzáles, G Magklis, Q Cai, A González
IEEE Transactions on Parallel and Distributed Systems 18 (8), 1055-1065, 2007
1792007
Virtual-physical registers
A Gonzalez, J Gonzalez, M Valero
Proceedings 1998 Fourth International Symposium on High-Performance Computer …, 1998
1761998
Very low power pipelines using significance compression
R Canal, A González, JE Smith
Proceedings of the 33rd annual ACM/IEEE international symposium on …, 2000
1752000
Impact of parameter variations on circuits and microarchitecture
OS Unsal, JW Tschanz, K Bowman, V De, X Vera, A Gonzalez, O Ergin
Ieee Micro 26 (6), 30-39, 2006
1682006
Dynamic cluster assignment mechanisms
R Canal, JM Parcerisa, A González
Proceedings Sixth International Symposium on High-Performance Computer …, 2000
1682000
The potential of data value speculation to boost ILP
J González, A González
Proceedings of the 12th international conference on Supercomputing, 21-28, 1998
1371998
A low-complexity issue logic
R Canal, A González
Proceedings of the 14th international conference on Supercomputing, 327-335, 2000
1302000
Thread-spawning schemes for speculative multithreading
P Marcuello, A González
Proceedings Eighth International Symposium on High Performance Computer …, 2002
1292002
The system can't perform the operation now. Try again later.
Articles 1–20