Follow
Saksham Agarwal
Saksham Agarwal
Verified email at cs.cornell.edu - Homepage
Title
Cited by
Cited by
Year
Sincronia: Near-optimal network design for coflows
S Agarwal, S Rajakrishnan, A Narayan, R Agarwal, D Shmoys, A Vahdat
Proceedings of the 2018 Conference of the ACM Special Interest Group on Data …, 2018
1202018
ECG signal analysis using wavelet coherence and s-transform for classification of cardiovascular diseases
S Agarwal, V Krishnamoorthy, S Pratiher
2016 International conference on advances in computing, communications and …, 2016
212016
Understanding Host Interconnect Congestion
S Agarwal, R Agarwal, B Montazeri, M Moshref, K Elmeleegy, L Rizzo, ...
HotNets '22: Proceedings of the 21st ACM Workshop on Hot Topics in Networks …, 2022
192022
Likelihood-based tree search for low complexity detection in large MIMO systems
S Agarwal, AK Sah, AK Chaturvedi
IEEE Wireless Communications Letters 6 (4), 450-453, 2017
172017
{CodedBulk}:{Inter-Datacenter} Bulk Transfers using Network Coding
SH Tseng, S Agarwal, R Agarwal, H Ballani, A Tang
18th USENIX Symposium on Networked Systems Design and Implementation (NSDI …, 2021
122021
Host Congestion Control
S Agarwal, A Krishnamurthy, R Agarwal
Proceedings of the ACM SIGCOMM 2023 Conference, 275-287, 2023
32023
Vehicle trajectory prediction using a catadioptric omnidirectional camera
V Krishnamoorthy, S Agarwal, KS Venkatesh
2016 International Conference on Advances in Computing, Communications and …, 2016
32016
A Critique of Network Distance Prediction using Matrix Factorization
A Verma, S Agarwal, V Gupta, MPK Rajawat
The system can't perform the operation now. Try again later.
Articles 1–8